面向高计算密集度应用的片上多处理器并行处理关键技术研究

61070025
2010
F0204.计算机系统结构与硬件技术
郇丹丹
面上项目
助理研究员
中国科学院计算技术研究所
30万元
计算密集;通用处理器;片上多处理器;数字信号处理器;并行
2011-01-01到2013-12-31
  • 中英文摘要
  • 结题摘要
  • 结题报告
  • 项目成果
  • 项目参与人
查看更多信息请先登录或注册
查看更多信息请先登录或注册
查看更多信息请先登录或注册
重置
序号 标题 类型 作者
1 Comparisons of Memory Virtualization Solutions for Architectures with Software-Managed TLBs 会议论文 Yunfang Tai|Wanwei Cai|Qi Liu|Ge Zhang|Wenzhi Wang|
2 Alpha Compression with Variable Data Formats 会议论文 Yifei Jiang|Mindan Gui|Shuai Chen|Weiwu Hu|
3 KVM-Loongson: An Efficient Hypervisor on MIPS 会议论文 Yunfang Tai|Wanwei Cai|Qi Liu|Ge Zhang|
4 系统虚拟化中指令去特权化的软硬件协同设计 期刊论文 台运方|蔡万伟|刘奇|张戈|章隆兵|
5 基于MIPS架构的内存虚拟化研究 期刊论文 蔡万伟|台运方|刘奇|张戈|
6 面向云计算的多核处理器存储和网络子系统优化设计 期刊论文 苏文|王焕东|台运方|王靖|
7 Fair Memory Access Scheduling for Quality of Service Guarantees via Service Curves 会议论文 Guangfei Zhang|Huandong Wang|Xinke Chen|Peng Li|
8 A Robust and Power-Efficient SoC Implementation in 65nm 期刊论文 Bin Xiao|Yi-Fu Zhang|Yan-Ping Gao|Liang Yang|Dong-Mei Wu|Bao-Xia Fan|
9 MCC: A Load Balancing and Deadlock Free Interconnect Network for Cache Coherent Chip Multiprocessors 会议论文 Liwei Chen|Guangfei Zhang|Huandong Wang|Wenxiang Wang|
10 A low-power high-swing voltage-mode transmitter 期刊论文 Chen Shuai|Li Hao|Shi Xiaobing|Yang Liqiong|Yang Zongren|Zhong Shiqiang|Huang Lingyi|
11 Cache Locking For Network Processing Acceleration 会议论文 Wen Su|Xiang Gao|Jing Wang|Ruibang You|
12 An ultra-fast hybrid simulation framework for ASIP 会议论文 Ji Qiu|Xiang Gao|Yifei Jiang|Xu Xiao|
13 Heterogeneous Multi-channel: Fine-grained Dram Control for Both System Performance and Power Efficiency 会议论文 Guangfei Zhang|Huandong Wang|Xinke Chen|Shuai Huang|Peng Li|
14 A novel hardware/software partitioning for SIMD-based real-time AVS video decoder 期刊论文 Liwei Chen|Ming Cong|Jing Huang|Ling LI|
15 多微通道内存系统设计方法 期刊论文 张广飞|王焕东|陈新科|黄帅|陈李维|
16 基于确定性的处理器硅后调试系统 期刊论文 苏孟豪|高翔|陈云霁|
17 Buffer Insertion to Fix Min Timing Violation Considering Multi-mode Multi-corner Timing Constrains 会议论文 Xiangyuan Bao|Jinmin Zhang|Bin Xiao|
18 基于访存历史学习的处理器Cache写失效处理方法 专利 汪文祥; 李祖松; 郝守青; 徐翠萍
19 龙芯3A多核处理器系统级性能优化与分析 期刊论文 孟小甫|高翔|从明|张爽爽|Meng Xiaofu1,2, Gao Xiang1, Cong Ming1, and Zhang|
20 一种基于RAM的降低异构多核切换开销的方法 期刊论文 刘奇|郝守青|沈海华|章隆兵|Liu Qi1,2,3,4,Hao Shouqing1,2,3,4,Shen Haihua1,2,4|
21 基于MIPS架构的异构内存虚拟化方法研究 期刊论文 蔡万伟|台运方|刘奇|张晓辉|张戈|
22 Mapping Routing Lookup Algorithm on Many-Core Architecture based on SPM and Cache Mixed Method 会议论文 Lei Yu|Zhiyong Liu|Dongrui Fan|
23 A 1.2 pJ/b 6.4 Gb/s 8+1-lane forwarded-clock receiver with PVT-variation-tolerant all-digital clock and data recovery in 28nm CMOS 会议论文 Shuai Chen|Hao Li|Liqiong Yang|Zongren Yang|
24 高性能多媒体SoC分组访存调度算法 期刊论文 张广飞|汪文祥|蒋毅飞|苏孟豪|
25 A Processor-DMA-Based Memory Copy Hardware Accelerator 会议论文 Wen Su|Ling Wang|Maohao Su|Su Liu|
26 基于硬件辅助的用户态并行程序记录方法 期刊论文 唐士斌|宋风龙|王达|李文明|刘志勇|TANG Shi-bin1,2,SONG Feng-long1,WANG Da1,LI Wen-mi|
27 Auto-Tuning GEMV on Many-Core GPU 会议论文 Weizhi Xu|Zhiyong Liu|Jun Wu|Xiaochun Ye|Shuai Jiao|Da Wang|Fenglong Song|Dongrui Fan|
28 A Revised Low Power Test Architecture 会议论文 Gang Wang|Jian Wang|
29 基于2D Cache结构的H.264运动补偿访存带宽优化方法 期刊论文 汪文祥|张广飞|沈海华|Wang Wenxiang1,2,3,Zhang Guangfei1,2,3,and Shen Ha|
30 二进制翻译控制转移的软硬件协同设计 期刊论文 郝守青|刘奇|沈海华|章隆兵|Hao Shouqing|Liu Qi|Shen Haihua|Zhang Longbing|
31 Luminance and Chrominance Parallelization of H. 264/AVC Decoding on a Multi-core Processor 会议论文 Xiaofu Meng|Xiang Gao|Qian Yu|Shuangshuang Zhang|
32 众核结构上分块LU分解算法的研究 期刊论文 余磊|刘志勇|马宜科|宋风龙|徐卫志|叶笑春|
33 Improved texture compression for S3TC 会议论文 Yifei Jiang|Dandan Huan|
34 Address-Locking Cache: A Flexible On Chip Memory Implementation for Embedded System 会议论文 Wen Su|Jing Wang|Longbing Zhang|Xinke Chen|
35 Study on the Mapping of Streaming Application on Many-Core Architecture 会议论文 Lei Yu|Zhiyong Liu|Dongrui Fan|
36 基于动静态混合调度策略的访存操作管理装置及其方法 专利 李祖松; 汪文祥; 郝守青; 徐翠萍
37 基于共享存储的高可伸缩嵌入式集群模型 期刊论文 尹文轩|高翔|朱晓静|郭德源|Yin Wenxuan1,2, Gao Xiang1, Zhu Xiaojing1, and Guo|
38 Hybrid Pixel Encoding: An Effective Display Frame Compression Algorithm for HD Video Decoder 会议论文 Yifu Li|Wenxiang Wang|Guangfei Zhang|
39 具有可变数据格式的透明度压缩 期刊论文 蒋毅飞|郇丹丹|解鑫|
40 An 8-Core MIPS-Compatible Processor in 32/28 nm Bulk CMOS 期刊论文 Hu Weiwu|Liang Yang|Baoxia Fan|Huandong Wang|
41 An Efficient Shared Memory Based Virtual Communication System for Embedded SMP Cluster 会议论文 Wenxuan Yin|Xiang Gao|Xiaojing Zhu|Deyuan Guo|
42 向量复数乘法运算的处理方法及相应的装置 专利 郇丹丹; 刘宏伟; 张晓春
43 支持标量复用的非全流水向量除法开方装置及方法 专利 刘宏伟; 郇丹丹; 张晓春
44 Optimizing Sparse Matrix Vector Multiplication Using Cache Blocking Method on Fermi GPU 会议论文 Weizhi Xu|Hao Zhang|Shuai Jiao|Da Wang|Fenglong Song|Zhiyong Liu|
45 Software and Hardware Co-designed Multi-level TLBs for Chip Multiprocessors 会议论文 Xiaohui Zhang|Ming Cong|Guangqiang Chen|
46 Using Direct Cache Access Combined With Integrated NIC Architecture to Accelerate Network Processing 会议论文 Wen Su|Longbing Zhang|Dan Tang|Xiang Gao|
47 LU分解在众核结构仿真器上的指令级调度研究 期刊论文 余磊|刘志勇|宋风龙|叶笑春|
48 A fast-lock-in wide-range harmonic-free all-digital DLL with a complementary delay line 会议论文 Chen Shuai|Li Hao|Jia Kai|Wang Yue|Shi Xiaobing|Zhang Feng|
49 基于硬件cache锁机制的Java虚拟机即时编译器优化 期刊论文 敖琪|蔡嵩松|王剑|Ao Qi, Cai Songsong, and Wang Jian (Key Laboratory|
50 一种递归定义的可扩展片上网络拓扑结构 期刊论文 朱晓静|
51 Adaptive Pixel Encoding: An Effective Algorithm for Frame Buffer Compression 会议论文 Yifu Li|Yifei Jiang|Haibo Meng|
52 基于二进制插桩的ASIP处理器指令集混合仿真方法 期刊论文 邱吉|高翔|彭飞|汪文祥|蒋毅飞|Qiu Ji1,2, Gao Xiang1, Peng Fei3, Wang Wenxiang1,2|
53 A Novel HW/SW Partitioning with SIMD Instructions for AVS Video Decoder 会议论文 Liwei Chen|Ming Cong|Jing Huang|Ling Li|Hongwei Liu|Cheng Qian|
54 An Optimized Solution for Cross-Domain System Bus Transaction Processing 会议论文 Wen Su|Jing Wang|Huandong Wang|Ling Wang|
查看更多信息请先登录或注册