高效能并行计算机体系结构研究

60633050
2006
F02.计算机科学
周兴铭
重点项目
教授
中国人民解放军国防科技大学
180万元
高性能计算机;并行编译..;计算机体系结构;光互连;并行计算
2007-01-01到2010-12-31
  • 中英文摘要
  • 结题摘要
  • 结题报告
  • 项目成果
  • 项目参与人
查看更多信息请先登录或注册
查看更多信息请先登录或注册
查看更多信息请先登录或注册
重置
序号 标题 类型 作者
1 Fine-grained Parallel Zuker Algorithm Accelerator with Storage Optimization on FPGA 会议论文
2 精确分类的视角无关人脸检测方法与硬件加速体系结构 期刊论文
3 BOIN:一种新型无缓存高性能计算机光互连网络 期刊论文
4 可选主元LU分解流水线算法设计与FPGA实现 期刊论文
5 HybridTCache :一种基于专用事务Cache的软硬件协同事务内存系统 期刊论文
6 FPGA Accelerator for Wavelet-based Automated Global Image Registration 期刊论文
7 面向Cache优化的向量指令集设计与测评 期刊论文
8 Optimized Generation of Memory Structure in Compiling Window Operations onto Reconfigurable Hardware 会议论文
9 The Implementation of a Coarse-Grained Reconfigurable Architecture with Loop Self-pipelining 会议论文
10 FPGA-Accelerated Molecular Dynamics Simulations: An Overview 会议论文
11 SRF Coloring: Stream Register File Allocation via Graph Coloring 期刊论文
12 A Parameterized Architecture Model in High Level Synthesis for Image Processing Applications 会议论文
13 光突发交换网络中信道调度的PBR-VF算法 期刊论文
14 流处理器上基于参数模型的长流分段技术 期刊论文
15 FPGA Accelerating Double/Quad-Double High Precision Floating-Point Applications for ExaScale Computing 会议论文
16 流编程模型下的存储一致性模型 期刊论文
17 能耗并行加速比:高性能计算系统综合性能的有效度量 期刊论文
18 Hybrid-Mode Floating-Point FPGA CORDIC Co-processor 会议论文
19 自相似网络流量预测研究 期刊论文
20 硬件归约的延迟模型和调度策略 期刊论文
21 A 64-bit stream processor architecture for scientific applications 会议论文
22 基于简化Trace的动态隐式断言执行 期刊论文
23 环网中的维度气泡流控与自适应路由算法 期刊论文
24 Fine-grained Parallel Application Specific Computing for RNA Secondary Structure Prediction Using SCFGs on FPGA 会议论文
25 Impact of Loop Unrolling on Area, Throughput and Clock Frequency for Window Operations based on a Data Schedule Method 会议论文
26 FPGA accelerating algorithms of active shape model in people tracking applications 会议论文
27 A Fine-grained Pipelined Implementation of the LINPACK Benchmark on FPGAs 会议论文
28 一种新的处理器间光互连网络 期刊论文
29 FPGA Accelerating Three QR Decomposition Algorithms in the Uinfied Pipelined Framework 会议论文
30 基于参数化存储结构的滑动窗口IP核自动生成 期刊论文
31 Designing and Analysizing BOIN – A Novel On-Chip Optical Interconnection Network 会议论文
32 Fine-grained Parallel Application Specific Computing for RNA Secondary Structure Prediction on FPGA 会议论文
33 A Fine-Grained Pipelined Implementation for Large-Scale Matrix Inversion on FPGA 会议论文
34 Imagine流处理器上流的优化组织方法 期刊论文
35 Multi-access memory architecture for image applications with multiple interested regions 会议论文
36 面向滑动窗口应用的设计空间探索方法 期刊论文
37 Design and synthesis of a high-speed hardware linked-list for digital image processing 会议论文
38 面向多兴趣区域图像处理应用的高效无冲突并行访问存储模型 期刊论文
39 A coarse-grained reconfigurable computing architecture with loop self-pipelining 期刊论文
40 一种改进的基于FPGA 的32位对数变换器的设计与实现 期刊论文
41 DMA Performance Analysis and Multi-core Memory Optimization for SWIM Benchmark on the Cell Processor 会议论文
42 事务存储系统 期刊论文
43 一种面向多核处理器粗粒度的应用级Cache划分方法 期刊论文
44 Hardware BLAST Algorithms with Multi-seeds Detection and Parallel Extension 会议论文
45 FPGA-Based Memory-Efficient Parallel RNA Secondary Structure Prediction Accelerator Using SCFGs 会议论文
46 Families of FPGA-Based Accelerators for BLAST Algorithm with Multi-seeds Detection and Parallel Extension 会议论文
47 Fine-grained parallel RNA secondary structure prediction using SCFGs on FPGA, Journal of Parallel Computing 期刊论文
48 高性能并行计算机的设计方法 奖励
49 主从式单边异构多核处理器编程模型和编译架构 期刊论文
50 FIDP:A Novel Architecture for Lifting-Based 2D DWT in JPEG2000 会议论文
51 Architecture-Based Optimization for Mapping Scientific Applications to Imagine 会议论文
52 Fpqrna: Hardware-Accelerated Qrna Package for noncoding RNA Gene Detecting on FPGA 期刊论文
53 Rectangularly multi-module memory system with table-based dynamic addressing scheme 会议论文
54 Double Precision Hybrid-Mode Floating-Point FPGA CORDIC Co-processor 会议论文
55 Implementation of Rotation Invariant Multi-View Face Detection on FPGA 会议论文
56 FPGA SAR Processor with Window Memory Accesses 会议论文
57 Power-Directed Software Prefetching Algorithm with Dynamic Voltage Scaling 会议论文
58 FT64并行系统上的EP和GEMM并行算法设计与实现 期刊论文
59 滑动窗口应用循环展开及其数据通路生成 期刊论文
60 A unified co-processor architecture for matrix decomposition 期刊论文
61 Dynamic Configurable Floating-Point FFT Pipelines and Hybrid-Mode CORDIC on FPGA 会议论文
62 银河深度并行计算机系统 奖励
63 面向多核NUCA共享数据竞争问题的Bank一致性技术 期刊论文
64 支持循环自动流水线的粗粒度可重构阵列体系结构 期刊论文
65 FPGA-Accelerated Active Shape Model for Real-Time People Tracking 会议论文
66 一种无缓冲的光互连网络的吞吐率性能分析及优化 期刊论文
67 Efficient Memory Subsystem for High Throughput JPEG2000 2D-DWT Encoder 会议论文
68 光突发交换中冲突解决的GHA策略 期刊论文
69 Implementation and Optimization of Sparse Matrix-Vector Multiplication on Imagine Stream Processor 会议论文
70 A reconfigurable architecture for rotation invariant multi-view face detection based on a novel two-stage boosting method 期刊论文
71 基于模糊聚类分析的构件并行技术研究 期刊论文
72 Blocking LU decomposition for FPGAs 会议论文
73 银河深度并行计算机体系结构与总体技术 奖励
74 Area and Throughput Trade-offs in Design of Arithmetic Encoder for JPEG2000 会议论文
75 Fine-grained Parallel RNAalifold Algorithm for RNA Secondary Structure Prediction on FPGA, Proceedings of 7th Asia Pacific Bioinformatics Conference 期刊论文
76 Exploiting Fine-Grained Pipeline Parallelism for Wavefront Computations on Multicore Platforms 会议论文
77 面向非一致Cache的智能多跳提升技术 期刊论文
78 Computation rotating for data reuse 会议论文
79 Power-Aware Software Prefetching 会议论文
80 位平面编码存储优化算法及FPGA设计 期刊论文
81 自动映射多循环程序到有限FPGA资源的参数化流水线模板 期刊论文
82 光突发交换中冲突解决的GHA-TS机制 期刊论文
83 科学计算程序在FT64流处理器上的实现、优化和评测 期刊论文
84 Window Memory Accesses Method in Alternate Row/Column Matrix Access Systems 会议论文
85 BOIN: A Novel Bufferless Optical Interconnection Network for High Performance Computer 会议论文
86 无缓冲光互连网络的延时性能分析及优化 期刊论文
查看更多信息请先登录或注册