纳米级超低功耗CMOS集成电路与SoC技术研究

61234002
2012
F0402.集成电路设计
曾晓洋
重点项目
教授
复旦大学
310万元
无线体域网;超低电压;微瓦片上系统;超低功耗
2013-01-01到2017-12-31
  • 中英文摘要
  • 结题摘要
  • 结题报告
  • 项目成果
  • 项目参与人
查看更多信息请先登录或注册
查看更多信息请先登录或注册
查看更多信息请先登录或注册
重置
序号 标题 类型 作者
1 A 2.67fJ/C.-s 27.8kS/s 0.35V 10-bit successive approximation register analogue-to-digital converter in 65nm CMOS 期刊论文 Zhangming Zhu;Zheng Qiu;Yi Shen;Yintang Yang
2 基于分层超立方体的精确ESOP最小化 期刊论文 张巧文;汪鹏君;胡江
3 Convergence-optimized variable node structure for stochastic LDPC decoder 会议论文 Qichen Zhang;Yun Chen;Di Wu;Xiaoyang Zeng;Yeong-luh Ueng
4 一种低功耗的长指令字指令存储器及其优化功耗的方法 专利 虞志益;俞政;于学球;刘宁希;曾晓洋
5 一种应用于两步式积分型模数转换器的斜坡转换电路 专利 程旭;孙彪;郭东东;曾晓洋
6 基于最优控制电压的高鲁棒性PUF电路设计 期刊论文 汪鹏君;张学龙;张跃军
7 Design of power balance SRAM for DPA-resistance 期刊论文 Zhou Keji;Wang Pengjun;Wen Liang
8 An ultra low-power and area-efficient baseband processor for WBAN transmitter 会议论文 Mengyuan Chen;Jun Han;Dabin Fang;Yao Zou;Xiaoyang Zeng
9 双电压亚阈值电平转换器 专利 温亮;文海波;程旭;曾晓洋
10 一种面向体域网的双模式BCH解码器电路 专利 关天婵;韩军;曾晓洋
11 Synthesis of quantum circuits by multiplex rotation gates 期刊论文 Hu jiang;Wang Pengjun;Zhang Qiaowen
12 Non-binary digital calibration for split-capacitor DAC in SAR ADC 期刊论文 Yawei Guo;Yue Wu;Dongdong Guo;Xu Cheng;Zhiyi Yu;Xiaoyang Zeng
13 三值绝热JKL触发器的设计 期刊论文 汪鹏君;梅凤娜
14 一种CNFET的多位三值比较器设计 期刊论文 唐伟童;汪鹏君;王谦
15 A highly energy-efficient compressed sensing encoder with robust subthreshold clockless pipeline for wireless BANs 会议论文 Yi Li;Xu Cheng;Yicheng Zhang;Weijing Shi;Jun Han;Xiaoyang Zeng
16 一种BCH软解码算法及其实现电路 专利 关天婵;韩军;曾晓洋
17 An error-resilient wavelet-based ECG processor under voltage overscaling 会议论文 Mengyuan Chen;Jun Han;Yicheng Zhang;Yao Zou;Yi Li;Xiaoyang Zeng
18 PMGA and its application in area and power optimization for ternary FPRM circuit 期刊论文 Wang Pengjun;Li Kangping;Zhang Huihong
19 三值绝热多米诺T运算电路 期刊论文 郑雪松;汪鹏君;杨乾坤
20 一种负位线电压产生电路 专利 温亮;李毅;曾晓洋
21 一种利用数论变换计算循环卷积的电路结构 专利 韩军;杨春峰;曾晓洋
22 A High Efficiency Self-Powered Rectifier for Piezoelectric Energy Harvesting Systems 期刊论文 Jingmin Wang;Zheng Yang;Zhangming Zhu;Yintang Yang
23 A Background Fast Convergence Algorithm for Timing Skew in Time-Interleaved ADCs 期刊论文 Dengquan Li;Zhangming Zhu;Liang Zhang;Yintang
24 基于CNFET的高性能三值SRAM-PUF电路设计 期刊论文 汪鹏君;龚道辉;张会红;康耀鹏
25 An Efficient Implementation of Montgomery Multiplication on Multicore Platform With Optimized Algorithm, Task Partitioning,and Network Architecture 期刊论文 Renfeng Dou;Jun Han;Yifan Bo;Zhiyi Yu;Xiaoyang Zeng
26 A 0.45 V, 14.6 nW CMOS Sub-threshold Voltage Reference With no Resistors and no BJTs 期刊论文 Yutao wang;Zhangming Zhu;Jiaojiao Yao;Yintang Yang
27 Design of replica bit line control circuit to optimize power for SRAM 期刊论文 Wang Pengjun;Zhou Keji;Zhang Huihong;Gong Daohui
28 节能型数字集成电路设计关键技术 奖励 汪鹏君;张跃军;张会红;戴静;陆金刚;徐建;李辉;李昆鹏;曾小旁
29 双胞胎存储单元 专利 温亮;文海波;周可基;程旭;曾晓洋
30 A 19 nW, 0.7 V CMOS Voltage Reference with no Amplifiers and no Clock Circuits 期刊论文 Haoyu Zhuang;Zhangming Zhu;Yintang Yang
31 A 65 nm Cryptographic Processor for High Speed Pairing Computation 期刊论文 Jun Han;Yang Li;Zhiyi Yu;Xiaoyang Zeng
32 Conversion algorithm for MPRM expansion 期刊论文 Wang Penjun;Wang Zhenhai;Xu Rui;Jiang Zhidi;Wang Disheng
33 A Startup Robust Feedback Class-C VCO with Constant Amplitude Control in 0.18μm CMOS 期刊论文 Zhangming Zhu;Liang Liang;Yintang Yang
34 Energy-efficient and area-efficient tri-level floating capacitor switching scheme for SAR ADC 期刊论文 Hao Wang;Zhangming Zhu;Ruixue Ding
35 GA-DTPSO算法及其在混合极性XNOR/OR电路面积优化中应用 期刊论文 俞海珍;蒋志迪;汪鹏君;厉康平
36 Analysis and improvement of ramp gain error in single-ramp single-slope ADCs for CMOS image sensors 期刊论文 Xu Cheng;Xiaoyang Zeng;Qi Feng
37 基于三值多样性粒子群算法的MPRM电路综合优化 期刊论文 俞海珍;汪鹏君;张会红;万凯
38 亚阈值6管存储单元 专利 温亮;文海波;周可基;程旭;曾晓洋
39 三值FPRM电路极性间转换算法及其在面积优化中的应用 期刊论文 孙飞;汪鹏君;俞海珍
40 An Ultra-Low Power QRS Complex Detection Algorithm Based on Down-Sampling Wavelet Transform 期刊论文 Yao Zou;Jun Han;Xinqian Weng;Xiaoyang Zeng
41 An Improved-Linearity, Single-Stage Variable Gain Amplifier Using Current Squarer for wider gain range 期刊论文 Jingyu Wang;Zhangming Zhu
42 低功耗CMOS逐次逼近型模数转换器 专著 朱樟明;杨银堂
43 A Fast-settling Three-stage Amplifier Using Regular Miller plus Reversed Indirect Compensation 期刊论文 Cheng Song;Zhangming Zhu;Yintang Yang
44 基于CNFET的低功耗三值门电路设计 期刊论文 唐伟童;汪鹏君;郑雪松
45 一种移位型数字校准系统 专利 程旭;曾晓洋
46 A 0.5-V 9.3-ENOB 68-nW 10-kS/s SAR ADC in 0.18-μm CMOS for Biomedical Applications 期刊论文 Wenbin Bai;Zhangming Zhu
47 基于CNFET的三值脉冲式D触发器设计 期刊论文 王谦;汪鹏君;龚道辉
48 Design of Explicit-pulse Generators with CNTFET 会议论文 Wang Qian;Wang Pengjun;Gong Daohui
49 基于遗传算法的三值FPRM电路面积优化 期刊论文 孙飞;汪鹏君;俞海珍;汪迪生
50 A 1.5-D Multi-Channel EEG Compression Algorithm Based on NLSPIHT 期刊论文 Gaowei Xu;Jun Han;Yao Zou;Xiaoyang Zeng
51 三值绝热多米诺可逆计数器设计 期刊论文 郑雪松;汪鹏君
52 适用于低电压寄存器堆的写加强的抗读位线漏电存储单元 专利 虞志益;韩军;邹泽远;李毅;程旭;曾晓洋
53 A 0.45 V, Nano-watt 0.033% Line Sensitivity MOSFET-only Sub-threshold Voltage Reference with no Amplifiers 期刊论文 Zhangming Zhu;Jin Hu;Yutao Wang
54 A lifting-based 2-D discrete wavelet transform architecture for data compression of bio-potential signals 会议论文 Yi Ren;Jun Han;Zhiyi Yu;Sizhong Xuan;Xiaoyang Zeng
55 基于模拟退火遗传算法的三值FPRM电路功耗优化 期刊论文 厉康平;汪鹏君;张会红
56 一种低功耗的寄存器堆的写回丢弃方法 专利 虞志益;俞政;于学球;张家杰;曾晓洋
57 一种适用于低功耗容错电路的FIR滤波器结构 专利 韩军;陈梦远;张益成;曾晓洋
58 An Area-Efficient Error-Resilient Ultralow-Power Subthreshold ECG Processor 期刊论文 Jun Han;Yicheng Zhang;Shan Huang;Mengyuan Chen;Xiaoyang Zeng
59 Highly Stable Data SRAM-PUF in 65nm CMOS Process 会议论文 Xuelong Zhang;Pengjun Wang;Yuejun Zhang
60 Design of Linear Dynamic Range and High Sensitivity Matrix Quadrant APDs ROIC for Position Sensitive Detector Application 期刊论文 Hao Zheng;Rui Ma;Zhangming Zhu
61 基于PSGA算法的ISFPRM电路面积与功耗优化 期刊论文 汪鹏君;汪迪生;蒋志迪;张会红
62 单电压亚阈值电平转换器 专利 温亮;文海波;程旭;曾晓洋
63 具有位交叉功能的8管存储子阵列结构 专利 温亮;文海波;周可基;程旭;曾晓洋
64 基于多策略离散粒子群算法的MPRM电路延时与面积优化 期刊论文 符强;汪鹏君;童楠;王铭波;张会红
65 一种针对正定对称矩阵进行最小二乘方程求解的电路结构 专利 韩军;陈辉;曾晓洋
66 Cryptographie coprocessor design for IoT sensor nodes 会议论文 Weizhen Wang;Jun Han;Zhicheng Xie;Shan Huang;Xiaoyang Zeng
67 A 0.5-V Power-Efficient Low-Noise CMOS Instrumentation Amplifier for Wireless Biosensor 期刊论文 Wenbin Bai;Zhangming Zhu
68 基于穷举法的三值FPRM电路功耗最佳极性搜索 期刊论文 厉康平;汪鹏君;张会红
69 A Floating Buck Controlled Multi-Mode Dimmable LED Driver Using a Stacked NMOS Switch 期刊论文 Zhangming Zhu;Yongyuan Li.
70 基于MODPSO算法的FPRM电路多约束极性优化方法 期刊论文 符强;汪鹏君;童楠;王铭波;张会红
71 Sub-threshold level converter with internal supply feedback for multi-voltage applications 期刊论文 Liang Wen;Haibo Wen;Xiaoyang Zeng
72 Design of ternary low-power Domino JKL flip-flop and its applicantion 期刊论文 Pengjun Wang;Qiankun Yang;Xuesong Zheng
73 Novel Low-power Ternary Explicit Pulsed JKL Flip-flop Based on CNFET 会议论文 Wang qian;Pengjun Wang;Gong Daohui
74 基于CNFET的单端口三值SRAM单元设 期刊论文 龚道辉;汪鹏君;康耀鹏
75 一种应用于低功耗容错电路的自适应长度预测器 专利 韩军;陈梦远;张益成;曾晓洋
76 Design and Analysis of Highly Energy/Area-Efficient Multiported Register Files With Read Word-Line Sharing Strategy in 65-nm CMOS Process 期刊论文 Xiaoyang Zeng;Yi Li;Yuejun Zhang;Shujie Tan;Jun Han;Xingxing Zhang;Zhang Zhang;Xu Cheng;Jun Han;Zhiyi Yu
77 一种根据写数据改变电源供电的亚阈值存储单元 专利 温亮;李毅;曾晓洋
78 Analysis and Optimization of the Two-stage Pipelined SAR ADCs 期刊论文 Yi Shen;Zhangming Zhu
79 An Energy-Efficient Design for ECG Recording and R-Peak Detection Based on Wavelet Transform 期刊论文 Yao Zou;Jun Han;Sizhong Xuan;Shan Huang;Xinqian Weng;Dabin Fang;Xiaoyang Zeng
80 A 6-to-10-Bit 0.5V-to-0.9V Reconfigurable 2MS/s power scalable SAR ADC in 0.18μm CMOS 期刊论文 Zhangming Zhu;Zheng Qiu;Maliang Liu;Ruixue Din
81 A Heterogeneous Multicore Crypto-Processor With Flexible Long-Word-Length Computation 期刊论文 Jun Han;Renfeng Dou;Lingyun Zeng;Xiaoyang Zeng
82 A 960 μW 10-bit70-MS/sSARADCwithanenergy-efficient capacitor-switchingscheme 期刊论文 Yue Wu;Xu Cheng;Xiaoyang Zeng
83 A low-cost and energy-efficient EEG processor for continuous seizure detection using wavelet transform and AdaBoost 会议论文 Shan Huang;Jun Han;Xin Li;Zongxian Yang;Xiaoyang Zeng
84 CDS circuit with high-performance VGA functionality and its design procedure 期刊论文 Haoyu Zhuang;Zhangming Zhu;Jingyu Wang;Yintang Yang
85 Latency-optimized stochastic LDPC decoder for high-throughput applications 会议论文 Di Wu;Yun Chen;Qichen Zhang;Lirong Zheng;Xiaoyang Zeng;Yeong-luh Ueng
86 包含无关项的MPRM展开式最小化算法 期刊论文 汪迪生;汪鹏君
87 基于CNFET的三值逐次逼近ADC设计 期刊论文 唐伟童;汪鹏君;王谦
88 A Self-compensation OCP Control Scheme for Primary-side Controlled Flyback AC/DC Converters 期刊论文 Zhangming Zhu;Qiang Wu;Zeyu Wang
89 Area Optimization of FPRM Circuit Based on Population Migration Algorithm 期刊论文 Kangping Li;Pengjun Wang;Huihong Zhang;Haizhen Yu
90 A 0.5V 1.3μW Analog Front-End CMOS Circuit 期刊论文 Zhangming Zhu;Wenbin Bai
91 Strategies for Reducing Decoding Cycles in Stochastic LDPC Decoders 期刊论文 Di Wu;Yun Chen;Qichen Zhang;Yeong-luh Ueng;Xiaoyang Zeng
92 一种用于数字校准的差值型电容调节器 专利 程旭;曾晓洋
93 CDS Circuit with 0 to 18dB, 9-bit VGA Functionality 期刊论文 Haoyu Zhuang;Zhangming Zhu;Yintang Yang;Lianxi Liu
94 一种应用于低功耗数字信号处理系统的低开销容错电路 专利 韩军;陈梦远;张益成;曾晓洋
95 基于多令牌环的加速器与处理器的耦合结构 专利 虞志益;于学球;俞政;曾晓洋
96 基于人口迁移算法的三值FPRM电路面积最佳极性搜索 期刊论文 厉康平;汪鹏君;张会红
97 一种高精度低功耗的FFT处理器 专利 薄一帆;韩军;窦仁峰;曾晓洋
98 用于远程医疗监护的多功能心电信号处理SoC芯片 专利 曾晓洋;邹垚;韩军;房达斌
99 用于列并行两步式模数转换器的共享型有源斜坡转换电路 专利 程旭;李立;郭东东;曾晓洋
100 一种用于数字校准的差值型电容调节器 专利 程旭;曾晓洋
101 A Fast-settling Three-stage Amplifier Using Regular Miller plus Reversed Indirect Compensation 期刊论文 Cheng Song;Zhangming Zhu;Yintang Yang
102 一种移位型数字校准系统 专利 程旭;曾晓洋
103 A 0.5-V Power-Efficient Low-Noise CMOS Instrumentation Amplifier for Wireless Biosensor 期刊论文 Wenbin Bai;Zhangming Zhu
查看更多信息请先登录或注册