应用射频互连的低功耗专用片上网络体系结构综合研究

61404123
2014
F0402.集成电路设计
陈松
青年科学基金项目
副教授
中国科学技术大学
22万元
低功耗;射频互连;拓扑生成;片上网络;布图规划
2015-01-01到2017-12-31
  • 中英文摘要
  • 结题摘要
  • 结题报告
  • 项目成果
  • 项目参与人
查看更多信息请先登录或注册
查看更多信息请先登录或注册
查看更多信息请先登录或注册
重置
序号 标题 类型 作者
1 Combining the ant system algorithm and simulated annealing for 3D/2D fixed-outline floorplanning 期刊论文 Qi Xu;Song Chen;Bin Li
2 A Fully Pipelined Hardware Architecture for Convolutional Neural Network with Low Memory Usage and DRAM Bandwidth 会议论文 Zhiwei Li;Yan Li;Song Chen;Feng Wu
3 Floorplanning and Topology Synthesis for Application Specific Network-on-Chips with RF-Interconnect 期刊论文 Jinglei Huang;Song Chen;Wei Zhong;Wenchao Zhang;Shengxi Diao;Fujiang Lin
4 High-Throughput Binary Arithmetic Encoder Architecture for CABAC in H.265/HEVC 会议论文 Cheng Chen;Kaili Liu;Song Chen
5 An Integrated Optimization Framework for Partitioning, Scheduling and Floorplanning on Partially Dynamically Reconfigurable FPGAs 会议论文 Xiaodong Xu;Qi Xu;Jinglei Huang;Song Chen
6 Integer Linear Programming Based Fault-Tolerant Topology Synthesis for Application-Specific NoC 会议论文 Zhigang Li;Jinglei Huang;Qi Xu;Song Chen
7 Leakage Power-Aware Scheduling with Dual-Threshold Voltage Design 期刊论文 Nan Wang;Wei Zhong;Cong Hao;Song Chen;Takeshi Yoshimura;Yu Zhu
8 High Throughput Hardware Architecture for Accurate Semi-Global Matching 会议论文 Yan Li;Chen Yang;Wei Zhong;Song Chen
9 Clustered Fault Tolerance TSV Planning for 3D Integrated Circuits 期刊论文 Qi Xu;Song Chen;Xiaodong Xu;Bei Yu
10 Memristor-Based Material Implication Logic Design for Full Adders 会议论文 Mengting Li;Wenhao Sun;Song Chen;Feng Wu
11 AutoNFT: Architecture Synthesis for Hardware DFT of Length of Coprime-Number Products 期刊论文 Gan Feng;Lan Yao;Song Chen
12 Fast thermal analysis for fixed-outline 3D floorplanning 期刊论文 Qi Xu;Song Chen
13 Real-Time Stereo Matching Using Guided Image Filter 会议论文 Chen Yang;Yan Li;Wei Zhong;Song Chen
14 Irregularly shaped voltage islands generation with hazard and heal strategy 会议论文 Zheng Meng;Song Chen;Lu Huang
15 Energy-Efficient and High-Throughput FPGA-based Accelerator for Convolutional Neural Networks 会议论文 Gan Feng;Zuyi Hu;Song Chen;Feng Wu
16 暗硅多核系统芯片资源调度算法 期刊论文 孙奥林;徐奇;陈松
17 Lagrangian Relaxation Based Topology Synthesis for Application-Specific Network-on-Chips 会议论文 Jinglei Huang;Zhigang Li;Wei Zhong;Song Chen
18 A Full Layer Parallel QC-LDPC Decoder for WiMAX and Wi-Fi 会议论文 Wenchao Zhang;Song Chen;Xuefei Bai;Dajiang Zhou
19 Reconfigurable topology synthesis for application-specific noc on partially dynamically reconfigurable FPGAs 会议论文 Jinglei Huang;Xiaodong Xu;Lan Yao;Song Chen
20 Lagrangian relaxation-based routing path allocation for application-specific network-on-chips 期刊论文 Jinglei Huang;Wei Zhong;Zhigang Li;Song Chen
查看更多信息请先登录或注册