面向物联网的自供能电路理论与系统芯片

61674094
2016
F0402.集成电路设计
刘勇攀
面上项目
教授
清华大学
67万元
非易失存储电路;自供能;传感器系统芯片;超低功耗
2017-01-01到2020-12-31
  • 中英文摘要
  • 结题摘要
  • 结题报告
  • 项目成果
  • 项目参与人
查看更多信息请先登录或注册
查看更多信息请先登录或注册
查看更多信息请先登录或注册
重置
序号 标题 类型 作者
1 RL Based Network Accelerator Compiler for Joint Compression Hyper-parameter Search 会议论文 Xiaoyu Feng;Jinshan Yue;Zhe Yuan;Huazhong Yang;Yongpan Liu
2 An extensible system simulator for intermittently-powered multiple peripheral IoT devices 会议论文 Tongda Wu;Lefan Zhang;Huazhong Yang;Yongpan Liu
3 PATH: Performance-Aware Task Scheduling for Energy-Harvesting Nonvolatile Processors 期刊论文 Jinyang Li;Yongpan Liu;Hehe Li;Zhe Yuan;Chenchen Fu;Jinshan Yue;Xiaoyu Feng;Chun Jason Xue;Jingtong Hu;Huazhong Yang
4 An External Data Exchange Efficiency Evaluation Framework for Neural Network Accelerator 会议论文 Ruoyang Liu;Jinshan Yue;Jingyu Wang;Huazhong Yang;Yongpan Liu
5 A 2-GHz Direct Digital Frequency Synthesizer Based on LUT and Rotation 会议论文 Yixiong Yang;Zhibo Wang;Pei Yang;Meng-Fan Chan;Mon-Shu Ho;Huazhong Yang;Yongpan Liu
6 Time Stamp Based Scheduling for Energy Harvesting Systems with Hybrid Nonvolatile Hardware Support 会议论文 Xin Shi;Tongda Wu;Keni Qiu;Huazhong Yang;Yongpan Liu (
7 Design Methodology for TFT-Based Pseudo-CMOS Logic Array With Multilayer Interconnection Architecture and Optimization Algorithms 期刊论文 Zhao Qinghang;Sun Wenyu;Zhao Jiaqing;Zhao Jian;Yao Hailong;Ho Tsung-Yi;Guo Xiaojun;Yang Huazhong;Liu Yongpan
8 DVFS-Based Long-Term Task Scheduling for Dual-Channel Solar-Powered Sensor Nodes 期刊论文 Tongda Wu;Yongpan Liu;Daming Zhang;Jinyang Li;Xiaobo Sharon Hu;Chun Jason Xue;Huazhong Yang
9 Investigation and Modeling of Multi-Node Body Channel Wireless Power Transfer 期刊论文 Huang Yuxuan;Zhao Jian;Sun Wenyu;Yang Huazhong;Liu Yongpan
10 A 65nm 0.39-to-140.3TOPS/W 1-to-12b Unified Neural- Network Processor Using Block-Circulant-Enabled Transpose-Domain Acceleration with 8.1× Higher TOPS/mm2 and 6T HBST-TRAM-Based 2D Data-Reuse Architecture 会议论文 Jinshan Yue;Ruoyang Liu;Wenyu Sun;Zhe Yuan;Zhibo Wang;Yung-Ning Tu;Yi-Ju Chen;Ao Ren;Yanzhi Wang;Meng-Fan Chang;Xueqing Li;Huazhong Yang;Yongpan Liu
11 Stack-size Sensitive On-chip Memory Backup for Self-powered Non-volatile Processors 期刊论文 Mengying Zhao;Chenchen Fu;Zewei Li;Qingan Li;Mimi Xie;Yongpan Liu;Jingtong Hu;Zhiping Jia;Chun Jason Xue
12 High-quality Single-model Deep Video Compression with Frame-Conv3D and Multi-frame Differential Modulation 会议论文 Wenyu Sun;Chen Tang;Weigui Li;Zhuqing Yuan;Yongpan Liu
13 A ReRAM-based Nonvolatile Flip-Flop with Self-Write-Termination Scheme for Frequent-Off Fast-Wakeup Nonvolatile Processors 期刊论文 Albert Lee;Chieh-Pu Lo;Chien-Chen Lin;Wei-Hao Chen;Kuo-Hsiang Hsu;Zhibo Wang;Fang Su;Zhe Yuan;Qi Wei;Ya-Chin King;Chrong-Jung Lin;Hochul Lee;Pedram Khalili Amiri;Kang-Lung Wang;Yu Wang;Huazhong Yang;Yongpan Liu;Meng-Fan Chang
14 AERIS: area/energy-efficient 1T2R ReRAM based processing-in-memory neural network system-on-a-chip 会议论文 Jinshan Yue;Yongpan Liu;Fang Su;Shuangchen Li;Zhe Yuan;Zhibo Wang;Wenyu Sun;Xueqing Li;Huazhong Yang
15 Checkpointing-aware Loop Tiling for Energy Harvesting Powered Non-Volatile Processors 期刊论文 Fuyang Li;Keni Qiu;Mengying Zhao;Jingtong Hu;Yongpan Liu;Yong Guan;Chun Jason Xue
16 A Task Failure Rate Aware Dual-Channel Solar Power System for Nonvolatile Sensor Nodes 期刊论文 Fang Su;Yongpan Liu;Xiao Sheng;Hyung Gyu Lee;Naehyuck Chang;Huazhong Yang
17 A Dual-Threshold Scheme Along with Security Reinforcement for Energy Efficient Nonvolatile Processors 会议论文 Dongqin Zhou;Keni Qiu;Yuanchao Xu;Xin Shi;Yongpan Liu
18 An 8b 0.8kS/S Configurable VCO-Based ADC Using Oxide TFTs with Inkjet PrintingInterconnection 会议论文 Wenyu Sun;Qinghang Zhao;Fei Qiao;Yongpan Liu;Huazhong Yang;Xiaojun Guo;Lei Wang;Lei Zhou
19 A 65-nm ReRAM-Enabled Nonvolatile Processor With Time-Space Domain Adaption and Self-Write-Termination Achieving > 4x Faster Clock Frequency and > 6x Higher Restore Speed 期刊论文 Zhibo Wang;Yongpan Liu;Albert Lee;Fang Su;Chieh-Pu Lo;Zhe Yuan;Jinyang Li;Chien-Chen Lin;Wei-Hao Chen;Hsiao-Yun Chiu;Wei-En Lin;Ya-Chin King;Chrong-Jung Lin;Pedram Khalili Amiri;Kang-Lung Wang;Meng-Fan Chang;Huazhong Yang
20 Design Methodology for TFT Based Pseudo-CMOS Logic Array with Multi-Layer Interconnect Architecture 会议论文 Qinghang Zhao;Yongpan Liu;Wenyu Sun;Jiaqing Zhao;Hailong Yao;Xiaojun Guo;Huazhong Yang
21 CP-FPGA: Energy-Efficient Nonvolatile FPGA With Offline/Online Checkpointing Optimization 期刊论文 Zhe Yuan;Yongpan Liu;Jinyang Li;Jingtong Hu;Chun Jason Xue;Huazhong Yang
22 接收信号强度检测电路及方法 专利 赵健;杨华中;刘勇攀;孙文钰
23 一种无线体域网通信系统 专利 赵健;杨华中;刘勇攀
24 Prototyping Energy Harvesting Powered Systems with Nonvolatile Processor 会议论文 Yawen Wu;Yinan Sun;Zhenge Jia;Lefan Zhang;Yongpan Liu;Jingtong Hu
25 Mechanical strain and temperature aware design methodology for thin-film transistor based pseudo-CMOS logic array 会议论文 Wenyu Sun;Yuxuan Huang;Qinghang Zhao;Fei Qiao;Tsung-Yi Ho;Xiaojun Guo;Huazhong Yang;Yongpan Liu
26 Sticker: A 0.41-62.1 TOPS/W 8Bit Neural Network Processor with Multi-Sparsity Compatible Convolution Arrays and Online Tuning Acceleration for Fully Connected Layers 会议论文 Zhe Yuan;Jinshan Yue;Huanrui Yang;Zhibo Wang;Jinyang Li;Yixiong Yang;Qingwei Guo;Xueqing Li;Meng-Fan Chang;Huazhong Yang;Yongpan Liu
27 An Auto Loss Compensation System for Capacitive-Coupled Body Channel Communication 期刊论文 Jian Zhao;Wenyu Sun;Jingna Mao;Yuxuan Huang;Bo Zhao;Yongpan Liu;Huazhong Yang
28 Data Backup Optimization for Nonvolatile SRAM in Energy Harvesting Sensor Nodes 期刊论文 Yongpan Liu;Jinshan Yue;Hehe Li;Qinghang Zhao;Mengying Zhao;Chun Jason Xue;Guangyu Sun;Meng-Fan Chang;Huazhong Yang
29 A 2.2-GHz Configurable Direct Digital Frequency Synthesizer Based on LUT and Rotation 期刊论文 Yixiong Yang;Xin Shi;Fang Su;Zhibo Wang;Pei Yang;Huazhong Yang;Yongpan Liu
30 一种应用于卷积神经网络的处理器(单一专利作价入股湃方科技,占股33%) 专利 刘勇攀;袁哲;岳金山;杨华中;李学清;王智博
31 Method and System forInitialization RF Module Through Non-volatile Control 专利 Yiqun Wang;Yongpan Liu;Huazhong Yang;Xiao Sheng;Zewei Li;Tongda Wu;Zhongjun Wang;Takashi Naiki;Koji Taniuchi
32 Design of 2T/cell and 3T/cell nonvolatile memories with emerging ferroelectric FETs 期刊论文 Xueqing Li;Juejian Wu;Kai Ni;Sumitha George;Kaisheng Ma;John Sampson;Sumeet Kumar Gupta;Yongpan Liu;Huazhong Yang;Suman Datta;Vijaykrishnan Narayanan
33 Live Demonstration: A self-powered ultraviolet radiation monitoring platform based on nonvolatile processor 会议论文 Xin Shi;Yongpan Liu;Yinan Sun;Yixiong Yang;Keni Qiu
34 A 130-nm Ferroelectric Nonvolatile System-on-Chip With Direct Peripheral Restore Architecture for Transient Computing System 期刊论文 Yongpan Liu;Fang Su;Yixiong Yang;Zhibo Wang;Yiqun Wang;Zewei Li;Xueqing Li;Ryuji Yoshimura;Takashi Naiki;Takashi Tsuwa;Takahiko Saito;Zhongjun Wang;Koji Taniuchi;Huazhong Yang
35 Spendthrift: Machine Learning Based Resource and Frequency Scaling for Ambient Energy Harvesting Nonvolatile Processors 会议论文 Kaisheng Ma;Xueqing Li;Srivatsa Rangachar Srinivasa;Yongpan Liu;John Sampson;Yuan Xie;Vijaykrishnan Narayanan
36 High PE Utilization CNN Accelerator with Channel Fusion Supporting Pattern-Compressed Sparse Neural Networks 会议论文 Jingyu Wang;Songming Yu;Jinshan Yue;Zhe Yuan;Zhuqing Yuan;Huazhong Yang;Xueqing Li;Yongpan Liu
37 Dynamic Channel Modeling and OFDM System Analysis for Capacitive Coupling Body Channel Communication 期刊论文 Wenyu Sun;Jian Zhao;Yuxuan Huang;Yinan Sun;Huazhong Yang;Yongpan Liu
38 A 112-765 GOPS/W FPGA-based CNN Accelerator using Importance Map Guided Adaptive Activation Sparsification for Pix2pix Applications 会议论文 Wenyu Sun;Chen Tang;Zhuqing Yuan;Huazhong Yang;Yongpan Liu
39 Multipoint Supported OFDM-Based System for High Robust Body Channel Communication 会议论文 Wenyu Sun;Jian Zhao;Yuxuan Huang;Jingna Mao;Huazhong Yang;Yongpan Liu
40 A Novel STT-RAM-Based Hybrid Cache for Intermittently Powered Processors in IoT Devices 期刊论文 Xie Mimi;Pan Chen;Zhang Youtao;Hu Jingtong;Liu Yongpan;Xue Chun Jason
41 Noise Margin, Delay, and Power Model for Pseudo-CMOS TFT Logic Circuits 期刊论文 Qinghang Zhao;Wenyu Sun;Jiaqing Zhao;Linrun Feng;Xiaoli Xu;Wenjiang Liu;Xiaojun Guo;Huazhong Yang;Yongpan Liu
42 一种无线体域网通信系统 专利 赵健;杨华中;刘勇攀
43 A 462GOPs/J RRAM-Based Nonvolatile Intelligent Processor for Energy Harvesting IoE System Featuring Nonvolatile Logics and Processing-In-Memory 会议论文 Fang Su;Wei-Hao Chen;Lixue Xia;Chieh-Pu Lo;Tianqi Tang;Zhibo Wang;Kuo-Hsiang Hsu;Ming Cheng;Jun-Yi Li;Yuan Xie;Yu Wang;Meng-Fan Chang;Huazhong Yang;Yongpan Liu
44 STICKER-T: An Energy Efficient Neural Network Processor Using Block-Circulant Algorithm and Unified Frequency-Domain Acceleration 期刊论文 Jinshan Yue;Yongpan Liu;Ruoyang Liu;Wenyu Sun;Zhe Yuan;Yung-Ning Tu;Yi-Ju Chen;Ao Ren;Yanzhi Wang;Meng-Fan Chang;Xueqing Li;Huazhong Yang
45 STICKER: An energy efficient sparse-aware neural network processor 奖励 Zhe Yuan;Yixiong Yang;Hui Tian;Tongda Wu;Huazhong Yang;Yongpan Liu
46 An Investigation on Inter-degeneration Effect in Body Channel Based Multi-node Wireless Power Transfer 会议论文 Yuxuan Huang;Jian Zhao;Wenyu Sun;Jingna Mao;Huazhong Yang;Yongpan Liu
47 STICKER: An Energy Efficient Multi-Sparsity Compatible Accelerator for Deep Convolutional Neural Networks in 65nm CMOS 期刊论文 Zhe Yuan;Yongpan Liu;Jinshan Yue;Yixiong Yang;Jingyu Wang;Xiaoyu Feng;Xueqing Li;Huazhong Yang
48 Advancing Nonvolatile Computing With Nonvolatile NCFET Latches and Flip-Flops 期刊论文 Xueqing Li;Sumitha George;Kaisheng Ma;Wei-Yu Tsai;Ahmedullah Aziz;John Sampson;Sumeet Kumar Gupta;Meng-Fan Chang;Yongpan Liu;Suman Datta;Vijaykrishnan Narayanan
49 Design of Nonvolatile SRAM with Ferroelectric FETs for Energy-Efficient Backup and Restore 期刊论文 Xueqing Li;Kaisheng Ma;Sumitha George;Win-San Khwa;John Sampson;Sumeet Gupta;Yongpan Liu;Meng-Fan Chang;Suman Datta;Vijaykrishnan Narayanan
50 A 130nm FeRAM-Based Parallel Recovery Nonvolatile SOC for Normally-OFF Operations with 3.9× Faster Running Speed and 11× Higher Energy Efficiency Using Fast Power-On Detection and Nonvolatile Radio Controller 会议论文 Zhibo Wang;Fang Su;Yiqun Wang;Zewei Li;Xueqing Li;Ryuji Yoshimura;Takashi Naiki;Takashi Tsuwa;Takahiko Saito;Zhongjun Wang;Koji Taniuchi;Meng-Fan Chang;Huazhong Yang;Yongpan Liu
51 神经网络的加速方法及装置 专利 刘勇攀;袁哲;王靖宇;岳金山;杨一雄;李学清;杨华中
52 Nonvolatile Processors: Why is it Trending? 会议论文 Fang Su;Kaisheng Ma;Xueqing Li;Tongda Wu;Yongpan Liu;Vijaykrishnan Narayanan
53 基于无线传感网的大型桥梁结构监测系统建设和关键技术研究 奖励 杨华中;王鹏军;马志华;费晓天;陈景星;陈宁;宋卓昱;杨军;刘勇攀;李亚;丁鑫;柏平;黄智科;李国瑞;冯乐
54 Maximum Energy Efficiency Tracking Circuits for Converter-Less Energy Harvesting Sensor Nodes 期刊论文 Yinan Sun;Zhe Yuan;Yongpan Liu;Xueqing Li;Yu Wang;Qi Wei;Yiqun Wang;Vijaykrishnan Narayanan;Huazhong Yang
55 A collaborative defense against wear out attacks in non-volatile processors 会议论文 Patrick Cronin;Chengmo Yang;Yongpan Liu
56 A Ferroelectric Nonvolatile Processor with 46 μs System-Level Wake-up Time and 14 μs Sleep Time for Energy Harvesting Applications 期刊论文 Su Fang;Yongpan Liu;Yiqun Wang;Huazhong Yang
查看更多信息请先登录或注册